Veeco CNTが500番目のALDシステムを出荷

Veeco CNTが500番目のALDシステムを出荷

Product News | Jul 17, 2017

North Carolina State University receives milestone shipment reinforcing industry reliance on Veeco CNT for ALD technology research and development

ALD Conference 2017 (Booth #23) DENVER—(July 17, 2017)—Veeco Instruments Inc. (NASDAQ:VECO) today announced that Veeco CNT, formerly known as Ultratech/Cambridge Nanotech, shipped its 500th Atomic Layer Deposition (ALD) system to North Carolina State University. The Veeco CNT Fiji® G2TM ALD System will enable the University to perform a variety of research applications for next generation electronic devices, including high-performance wearables and sensors.

“The Veeco CNT Fiji G2 ALD system will be a critical tool to meet our ambitious research goals,” said Bongmook Lee, Ph.D., research assistant professor of the NSF NERC ASSIST Center at North Carolina State University. “This ALD tool enables advances in our research for high performance CMOS, non-volatile memory, next-generation wide bandgap power devices, and environmental and physiological sensors. We selected the Veeco CNT Fiji G2 for its demonstrated ability to reliably deposit the most challenging oxide and nitride thin films. We are happy to be part of this milestone in receiving the 500th system and we continue to engage in a strong relationship with Veeco CNT.”

The Veeco CNT Fiji G2 ALD System is an advanced thin film next-generation ALD system capable of performing thermal and plasma-enhanced deposition in a modular, high-vacuum, flexible architecture that accommodates a wide range of deposition modes using multiple configurations of precursors and plasma gases. The system’s intuitive interface makes it easy to monitor and change recipes and processes as required by customers.

“Our 500th ALD system shipment validates the increasing interest in ALD technology,” said Ganesh Sundaram, Ph.D., vice president of Applied Technology, Veeco CNT. “Now, with the backing of Veeco, we will continue our legacy to build and enhance the deployment of ALD technology, helping customers like North Carolina State University develop next generation electronic devices.”

On May 26, 2017, Veeco acquired Ultratech, Inc., a leading supplier of lithography, laser-processing, inspection and atomic layer deposition (ALD) systems.  Over the past 15 years, Veeco CNT has become a leading provider of ALD systems for a wide range of university R&D and commercial applications including biomedical, electronics, energy, and optical.

Veeco CNT is a platinum sponsor of the 17th International Conference on Atomic Layer Deposition (ALD 2017) that takes place on July 15-18, 2017 in Denver, Colorado.  Ultratech-CNT will be exhibiting in booth #23, as well as presenting papers:

AS‐SuA5 Developing a Full Wafer‐scale Approach Towards High ALD Selectivity on Copper vs Low‐K (and Oxides) using a Single ALD/SAMS Platform, Laurent Lecordier, Ultratech; S. Armini, S. Herregods, IMEC, Belgium

AA‐SuP51 Color Modification of Metal Surfaces by Transparent ALD Film Stacks, Ritwik Bhatia, A. Bertuch, Ultratech

AF‐MoP24 Measurement and Control of Stress of ALD Films and Nano‐laminates Measured by Interferometry, Ritwik Bhatia, Ultratech

EM-MoP12 In Situ Characterization of Thin Film Molybdenum Carbide using Spectroscopic Ellipsometry, Adam Bertuch, Ultratech; J. Hoglund, SemiLab; L. Makai, Semilab; J. Byrnes, SemiLab; J. McBee, G. Sundaram, Ultratech

AA1‐TuA13 Mechanical, Physical, and Electrical Properties of Plasma‐Enhanced Atomic Layer Deposition of TiVN, Mark Sowa, Ultratech; N. Strandwitz, L. Ju, Lehigh University; A. Kozen, U.S. Naval Research Laboratory; B. Krick, Lehigh University

Veecoについて

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch & clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. Veecoは性能、歩留り、所有コストを最大化することを意識して設計された装置を提供し、サービスを提供するこれらの市場のすべてで世界をリードするテクノロジーを有しています。To learn more about Veeco’s innovative equipment and services, visit www.veeco.com.

###

Veeco Instruments Inc.

Media:

Jeffrey Pina

516-677-0200 x1222

jpina@veeco.com

最近の投稿

Veecoは、HDD製造を新たな生産レベルに引き上げる業界リーダーです。

Veecoの新しいガス混合システムはプロセス制御を改善し半導体メーカーの消耗品コストを削減

続きを読む

Veeco Announces Date for First Quarter 2018 Financial Results and Conference Call

続きを読む

Veeco、Compound Semiconductor Industry Innovation賞を受賞

続きを読む

半導体ベース ソリューションの大手サプライヤーがVeeco Propel 量産向けMOCVDシステムを採用

続きを読む

当社のチームがお手伝いいたします。