Why Laser Spike Annealing Is the Right Choice for the Digital Transformation

ブログ | Aug 02, 2022

The semiconductor industry is in the midst of one of the biggest transitions of its time. We’ve been teetering on the brink of digital transformation for a while, and the COVID-19 pandemic pushed us right over the edge. The world’s rapid pivot to virtual everything—from work and school, to shopping, health care and entertainment—is straining our devices and data centers to their very limits.

At the same time, advanced applications like 5G, artificial intelligence and machine learning—combined with situations like the current chip shortage—are calling for foundries, IDMs and memory manufacturers to ramp capacity of all its technology, from legacy to leading-edge. And in most cases, not just any chips will do. These devices must be the most advanced, most reliable chips available to meet the requirements of today’s computing needs.

So, what does laser spike annealing (LSA) have to do with all of this? You wouldn’t build a skyscraper without a strong core structure, would you? The same goes for advanced logic and memory architectures. Building devices at advanced process nodes to meet the needs of the digital transformation means taking a close look at processes every step of the way. In this blog post, we’ll focus on the annealing process, and look at the advantages LSA has over conventional, lamp-based thermal annealing, and why LSA is a better solution that results in a stronger foundation for advanced logic and memory devices.

Laser Spike Annealing 101

Annealing is a thermal process used in the far front end of semiconductor device manufacturing to lower silicon resistance and activate dopants injected into crystalline layers for stress relaxation. It is performed before the metal layers are added, and is instrumental in providing a structurally sound foundation for the device.

There are two main approaches to annealing; one is the traditional lamp-based approach, and the other is LSA, a more advanced option. Lamp based is a simple, slow process that uses white light to apply heat in on/off stages to bare silicon. Alternatively, LSA uses a single narrow laser beam to heat the wafer surface from substrate temperature to the peak annealing temperature.

LSA creates highly activated, ultra-shallow junctions with near diffusion-less boundaries in silicon. This produces more uniform temperature and stress distributions in product wafers than lamp-based short-time annealing processes. This advanced annealing is tied directly to device performance, such as synchronization, timing and battery life. It also leads to lower leakage and improved yields.

As technology nodes shrink to 5nm and 3nm, processes must be adapted to suit a tighter thermal budget. This becomes challenging for conventional annealing processes. A device’s thermal budget is a time/temperature calculation. Essentially, the temperature has to be high enough to activate the dopants, but not too high to overheat the device. The difference between lamp-based and laser-based annealing is a process that can be performed in nanoseconds vs. milliseconds.

Applications that Benefit from LSA

A key advantage of LSA is its broad scalability and adaptability for different applications. It has long been the process of record for most of the industry’s foundries and IDMs for high-performance logic devices due to its unique hardware configuration and real-time process control capability. LSA is also compatible with new materials such as strained Si, SiGe, high-k and metal gates, and is extendable to new device structures.1

For example, memory manufacturers have started using LSA for DRAM applications, because they are facing the same challenges as logic manufacturers. Advanced DRAM architectures need higher activation and shallow junctions that just can’t be met with traditional annealing. LSA provides the solution.

Lastly, LSA has also proven beneficial in back-end lithography applications, such as replacing the hot-plate approach for annealing photoresist films. Research revealed that line roughness caused by diffusion in the baking method is decreased, resulting in higher-fidelity image quality for lithographic patterns.2

The Veeco Solution

At Veeco, we invented LSA, and our processes and tools serve the entire spectrum of the annealing roadmap, including logic, DRAM, 3D NAND, emerging memory and other advanced applications. We continuously strive to improve our systems to meet continuously evolving requirements.

Because we understand the importance of improving within-die and die-to-die uniformity in high volume manufacturing, we’ve focused tool capabilities that monitor and control peak anneal temperature to reduce pattern dependency. To manage ever-tightening thermal budgets critical to device performance, we developed diffusionless super activation. Our dual-beam technology was designed to eliminate the need for dopant deactivation.

Our latest development is an LSA flash anneal process that achieves the highest temperature in the shortest amount of time. We developed an LSA tool that uses infrared light to create a short laser spike with a long wavelength: 10.6µm at 600 watts of power to raise the temperature of the silicon for 150-200 microseconds precisely where the laser couples with the silicon. No other LSA tool on the market can do this. In fact, we are the only solution provider that delivers all advanced anneal requirements.

We are ready to help you make a material difference in LSA processes.

References

1. Y. Wang, S. Chen, M. Shen, et al. Ultratech, Inc. “Laser spike annealing and its application to leading-edge logic devices,” Proceedings of 16th IEEE International Conference on Advanced Thermal Processing of Semiconductors

2. R. Colin Johnson, “Laser-spike annealing could boost litho,” EE Times, October 2012. https://www.eetimes.com/laser-spike-annealing-could-boost-litho/

最近の投稿

Veecoは、HDD製造を新たな生産レベルに引き上げる業界リーダーです。

The Ideal MOCVD System for InP and GaAs Deposition

続きを読む

Why Laser Spike Annealing Is the Right Choice for the Digital Transformation

続きを読む

Solving Challenges for Next-Generation Advanced Packaging Lithography Processes

続きを読む

Market Opportunity Looms Large for microLED Technology

続きを読む

当社のチームがお手伝いいたします。