Renowned German Research Organization Selects Veeco's Atomic Layer Deposition System to Drive Renewable Energy Innovation

Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system.

著名なドイツの研究機関が、再生可能エネルギーの革新を推進するためにVeecoの原子層蒸着(ALD)システムを採用

ニュース | Mar 14, 2019

The Sharp Group at Walter Schottky Institute Adopts Veeco’s Fiji F200 ALD System for Advancement of Functional Semiconductors and Catalysts

PLAINVIEW, New York — Veeco Instruments Inc. today announced that The Sharp Group at Germany’s Walter Schottky Institute (WSI) has taken delivery of Veeco’s Fiji® F200™ Plasma-Enhanced Atomic Layer Deposition (PE-ALD) system. As a leader in the fabrication and characterization of functional semiconductors and catalysts, The Sharp Group will leverage the Fiji system as an integral part of its discovery and material development efforts for applications in renewable energy conservation.

“The development of renewable energy solutions calls for increasingly complex thin films and nanostructures, and to advance our work in this area requires an approach that is adaptable for a wide range of deposition techniques,” said Professor Ian Sharp, Ph.D., head of The Sharp Group at WSI. “Veeco not only has the requisite technical expertise but also offers invaluable scientific support. With the Fiji ALD system purchase, we’ve made a valuable and long-lasting partnership.”

The Fiji system is a flexible, single-wafer ALD reactor designed to deliver best-in-class performance for both thermal and plasma-enhanced ALD processes. Its demonstrated ability to precisely control the composition, structure and phase of multicomponent materials is critical to The Sharp Group to accelerate energy conversion mechanisms and direct function properties. Veeco has installed over 550 ALD systems, more than any other R&D supplier, at leading universities and customer facilities worldwide. Backed by Veeco’s global service team, the company’s ALD portfolio includes the Fiji, Savannah®, Phoenix® and Firebird™ systems to support a full range of R&D and production applications.

“New materials engineering challenges and applications arise every day, making Veeco’s ALD platforms ideal for those seeking the most versatile plasma-enhanced systems and thermal tools available,” added Ganesh Sundaram, Ph.D., vice president of applied technology for Veeco’s ALD group. “We anticipate an extremely productive collaboration with Professor Sharp and his team at WSI as they develop new technologies for sustainability and energy innovation.”

Veeco will exhibit and present at the EFDS ALD for Industry 2019 conference, March 19-20 in Berlin, Germany.  Dr. Ganesh Sundaram’s workshop presentation, “ALD Use for Decorative Applications,” is scheduled for 11:10 a.m. CET on Wednesday, March 20, 2019.

Veecoについて

Veeco (NASDAQ: VECO) is a leading manufacturer of innovative semiconductor process equipment. Our proven MOCVD, lithography, laser annealing, ion beam and single wafer etch and clean technologies play an integral role in producing LEDs for solid-state lighting and displays, and in the fabrication of advanced semiconductor devices. Veecoは性能、歩留り、所有コストを最大化することを意識して設計された装置を提供し、サービスを提供するこれらの市場のすべてで世界をリードするテクノロジーを有しています。To learn more about Veeco’s innovative equipment and services, visit www.veeco.com.

Media Contact: David Pinto |  +1-408-325-6157 | dpinto@veeco.com

最近の投稿

Veecoは、HDD製造を新たな生産レベルに引き上げる業界リーダーです。

Veeco Announces Date for First Quarter Financial Results and Conference Call

続きを読む

Veeco Releases Sustainability Report Highlighting Progress and Dedication to ESG Goals

続きを読む

Leading Semiconductor Innovator Chooses Veeco to Accelerate Next-Generation Advanced Packaging Applications

続きを読む

Veeco、第4四半期および2023会計年度の財務結果を報告

続きを読む

当社のチームがお手伝いいたします。